Set the uart config default baud rate to 115200

This commit is contained in:
Victor Koenders 2022-01-18 17:28:13 +01:00
parent b3b4d9ff6f
commit d6e3c7b615
No known key found for this signature in database
GPG key ID: 2E441540865B8A1C

View file

@ -64,7 +64,7 @@ pub enum Parity {
/// ```ignore
/// # // can't actually create this with the non_exhaustive attribute
/// UartConfig {
/// baudrate: Baud(0),
/// baudrate: Baud(115_200),
/// data_bits: DataBits::Eight,
/// stop_bits: StopBits::One,
/// parity: None,
@ -88,7 +88,7 @@ pub struct UartConfig {
impl Default for UartConfig {
fn default() -> Self {
Self {
baudrate: Baud(0),
baudrate: Baud(115_200),
data_bits: DataBits::Eight,
stop_bits: StopBits::One,
parity: None,